Publications

2023

  1. Evaluation of Pruning Techniques
    Shvetha S. Kumar , Reshma R. Nayak , Jismi S. Kannampuzha , Jeeho Ryoo , Sahil Rai , and Lizy K. John
    In 2023 IEEE International Performance, Computing, and Communications Conference (IPCCC)Nov 2023
  2. Do Video Encoding Workloads Stress the Microarchitecture?
    Staffen Jensen , Jaekyu Lee , Dam Sunwoo , Matt Horsnell , Matthew Siggs , Jeeho Ryoo , and Lizy K. John
    In 2023 IEEE International Symposium on Workload Characterization (IISWC)Oct 2023

2018

  1. Puzzle Memory: Multifractional Partitioned Heterogeneous Memory Scheme
    Jeeho Ryoo , Shuang Song , and Lizy K. John
    In 2018 IEEE 36th International Conference on Computer Design (ICCD) 2018
  2. A Case for Granularity Aware Page Migration
    Jeeho Ryoo , Lizy K. John , and Arkaprava Basu
    In Proceedings of the 2018 International Conference on Supercomputing, Beijing, China 2018

2017

  1. CSALT: Context Switch Aware Large TLB
    Yashwant Marathe , Nagendra Gulur , Jeeho Ryoo , Shuang Song , and Lizy K. John
    In 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) 2017
  2. Rethinking TLB designs in virtualized environments: A very large part-of-memory TLB
    Jeeho Ryoo , Nagendra Gulur , Shuang Song , and Lizy K. John
    In 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA) 2017
  3. SILC-FM: Subblocked InterLeaved Cache-Like Flat Memory Organization
    Jeeho Ryoo , Mitesh R. Meswani , Andreas Prodromou , and Lizy K. John
    In 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA) 2017

2016

  1. Dynamic Core Allocation and Packet Scheduling in Multicore Network Processors
    Muhammad Faisal Iqbal , Jim Holt , Jeeho Ryoo , Gustavo Veciana , and Lizy K. John
    IEEE Transactions on Computers 2016
  2. Proxy-Guided Load Balancing of Graph Processing Workloads on Heterogeneous Clusters
    Shuang Song , Meng Li , Xinnian Zheng , Michael LeBeane , Jeeho Ryoo , Reena Panda , Andreas Gerstlauer , and Lizy K. John
    In 2016 45th International Conference on Parallel Processing (ICPP) 2016
  3. Genesys: Automatically generating representative training sets for predictive benchmarking
    Reena Panda , Xinnian Zheng , Shuang Song , Jeeho Ryoo , Michael LeBeane , Andreas Gerstlauer , and Lizy K. John
    In 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS) 2016

2015

  1. Data partitioning strategies for graph workloads on heterogeneous clusters
    Michael LeBeane , Shuang Song , Reena Panda , Jeeho Ryoo , and Lizy K. John
    In SC ’15: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis 2015
  2. i-MIRROR: A Software Managed Die-Stacked DRAM-Based Memory Subsystem
    Jeeho Ryoo , Karthik Ganesan , Yao-Min Chen , and Lizy K. John
    In 2015 27th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD) 2015
  3. Watt Watcher: Fine-Grained Power Estimation for Emerging Workloads
    Michael LeBeane , Jeeho Ryoo , Reena Panda , and Lizy K. John
    In 2015 27th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD) 2015
  4. Performance Characterization of Modern Databases on Out-of-Order CPUs
    Reena Panda , Christopher Erb , Michael LeBeane , Jeeho Ryoo , and Lizy K. John
    In 2015 27th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD) 2015
  5. GPGPU Benchmark Suites: How Well Do They Sample the Performance Spectrum?
    Jeeho Ryoo , Saddam J. Quirem , Michael Lebeane , Reena Panda , Shuang Song , and Lizy K. John
    In 2015 44th International Conference on Parallel Processing 2015
  6. PowerTrain: A learning-based calibration of McPAT power models
    Wooseok Lee , Youngchun Kim , Jeeho Ryoo , Dam Sunwoo , Andreas Gerstlauer , and Lizy K. John
    In 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED) 2015

2014

  1. Control flow behavior of cloud workloads
    Jeeho Ryoo , Michael LeBeane , Muhammad Faisal Iqbal , and Lizy K. John
    In 2014 IEEE International Symposium on Workload Characterization (IISWC) 2014

2013

  1. Flow Migration on Multicore Network Processors: Load Balancing While Minimizing Packet Reordering
    Muhammad Faisal Iqbal , Jim Holt , Jeeho Ryoo , Lizy K. John , and Gustavo de Veciance
    In 2013 42nd International Conference on Parallel Processing 2013

2012

  1. Containment domains: A scalable, efficient, and flexible resilience scheme for exascale systems
    Jinsuk Chung , Ikhwan Lee , Michael Sullivan , Jeeho Ryoo , Dong Wan Kim , Doe Hyun Yoon , Larry Kaplan , and Mattan Erez
    In SC ’12: Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis 2012